We ship nationwide. 30-day return policy. Free standard shipping on all orders.

    • No products in the cart.

Shop

Sale

Cmod A7: Breadboardable Artix-7 FPGA Module

11,685.00

SKU: 410-328-35 Category:
Cmod A7: Breadboardable Artix-7 FPGA Module
SKU: 410-328-35

The Cmod, or Carrier Module, family of products is designed to offer quick, simple, and flexible integration of an FPGA into circuit design, prototyping, and learning/hobby projects.

The Digilent Cmod A7 is a small, 48-pin DIP form factor board built around a Xilinx® Artix®-7 FPGA that brings FPGA power and prototyping to a solderless breadboard.

The board includes a Quad-SPI flash for programming, as well as a USB-JTAG programming circuit and USB-UART bridge. The Cmod A7 also features a clock source, Pmod port, and onboard I/O with LEDs and pushbuttons. There are 44 FPGA I/O signals that are routed to 100-mil-spaced through-hole pins, making the Cmod A7 compatible with solderless breadboards. This form factor makes the Cmod A7 a great option for flexible and affordable prototyping, or learning FPGA and digital logic circuits. At just .7″ by 2.75″, it can also be loaded in a standard socket and used in embedded systems.

The Artix®-7 FPGA on the Cmod A7 provides the highest performance-per-watt fabric, transceiver line rates, DSP processing, and AMS integration for a cost-optimized FPGA. With the MicroBlaze Soft Processor Core from Xilinx, you can create embedded applications with a variety of peripherals, memory, and interfaces.

If your design does not require the transceiver lines or higher-performance of the Artix®-7 FPGA, we recommend the more affordable Cmod S7, featuring a Spartan®-7 FPGA.

Guides and demos are available to help users get started quickly with the Cmod A7. These can be found through the Support Materials tab.

Features

  • System Features
    • 512KB SRAM with an 8-bit bus and 8ns access times
    • 4MB Quad-SPI Flash
    • USB-JTAG Programming Circuitry
    • Powered from USB or external 3.3-5.5V supply connected to DIP pins
  • System Connectivity
    • USB-UART bridge
  • Interaction and Sensory Devices
    • 2 LEDs
    • 1 RGB LED
    • 2 Push Buttons
  • Expansion Connectors
    • 48-pin DIP connector with 44 Digital I/O and 2 Analog inputs (0-3.3V)
    • One Pmod connector with 8 Digital I/O

Reviews

There are no reviews yet.

Be the first to review “Cmod A7: Breadboardable Artix-7 FPGA Module”